咨询与建议

限定检索结果

文献类型

  • 51 篇 会议

馆藏范围

  • 51 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 39 篇 工学
    • 39 篇 材料科学与工程(可...
    • 13 篇 电气工程
  • 35 篇 理学
    • 35 篇 物理学
  • 4 篇 医学
    • 4 篇 临床医学

主题

  • 8 篇 etching
  • 8 篇 plasma etching
  • 6 篇 etch
  • 5 篇 optical lithogra...
  • 4 篇 plasma
  • 4 篇 cfet
  • 3 篇 semiconducting w...
  • 3 篇 ler
  • 3 篇 line width rough...
  • 3 篇 silicon
  • 3 篇 lithography
  • 3 篇 high aspect rati...
  • 3 篇 sadp
  • 3 篇 back end of line
  • 3 篇 euv
  • 3 篇 nanosheet
  • 2 篇 metals
  • 2 篇 gaa
  • 2 篇 photomasks
  • 2 篇 electrical yield...

机构

  • 6 篇 imec kapeldreef ...
  • 5 篇 imec kapeldreef ...
  • 4 篇 america llc tel ...
  • 3 篇 chinese acad sci...
  • 2 篇 sandbox semicond...
  • 2 篇 tokyo electron l...
  • 2 篇 univ chinese aca...
  • 1 篇 cea leti 17 rue ...
  • 1 篇 stmicroelectroni...
  • 1 篇 cea leti 17 rue ...
  • 1 篇 univ grenoble al...
  • 1 篇 ibm corp tj wats...
  • 1 篇 arkema france f-...
  • 1 篇 ibm res semicond...
  • 1 篇 synopsys inc 675...
  • 1 篇 coventor 3 ave q...
  • 1 篇 univ bordeaux en...
  • 1 篇 imec b-3001 leuv...
  • 1 篇 ltm cnrs 17 ave ...
  • 1 篇 changxin memory ...

作者

  • 7 篇 lazzarino f.
  • 4 篇 ko akiteru
  • 4 篇 wei yayi
  • 4 篇 raley angelique
  • 4 篇 chen rui
  • 4 篇 biolsi peter
  • 4 篇 barnola s.
  • 4 篇 shao hua
  • 3 篇 li junjie
  • 3 篇 kumar kaushik
  • 3 篇 demuynck s.
  • 3 篇 chan b. t.
  • 3 篇 mannaert g.
  • 3 篇 mohanty nihar
  • 3 篇 horiguchi n.
  • 3 篇 tiron r.
  • 3 篇 dupuy e.
  • 2 篇 gupta a.
  • 2 篇 barros p. piment...
  • 2 篇 chopra meghali j...

语言

  • 51 篇 英文
检索条件"任意字段=Conference on Advanced Etch Technology and Process Integration for Nanopatterning XIII"
51 条 记 录,以下是21-30 订阅
排序:
Creating new multistep etch and deposition processes with recycled etch data using SandBox Studio AI™  11
Creating new multistep etch and deposition processes with re...
收藏 引用
conference on advanced etch technology and process integration for nanopatterning XI Part of SPIE advanced Lithography and Patterning conference
作者: Kearney, Kara Medina, Leandro Bonnecaze, Roger T. Chopra, Meghali J. SandBox Semicond Inc 9901 Brodie LaneSuite 160568 Austin TX 78748 USA
Identification of optimal recipes for multi-step and cyclic etch processes where the outcome of each step depends on the progression of the previous steps is a major challenge. Selecting the order and duration of each... 详细信息
来源: 评论
Automated high throughput optimization of multistep and cyclic etch and deposition processes using SandBox Studio™ AI  11
Automated high throughput optimization of multistep and cycl...
收藏 引用
conference on advanced etch technology and process integration for nanopatterning XI Part of SPIE advanced Lithography and Patterning conference
作者: Kearney, Kara Medina, Leandro Bonnecaze, Roger T. Chopra, Meghali J. SandBox Semicond Inc 9901 Brodie LaneSuite 160568 Austin TX 78748 USA
The development of new technologies and advanced nodes is capitally intensive due to process design strategies that involve dependent unit processes with different yields and performances. This has led to the explorat... 详细信息
来源: 评论
OPC accuracy improvement through deep-learning based etch model  11
OPC accuracy improvement through deep-learning based etch mo...
收藏 引用
conference on advanced etch technology and process integration for nanopatterning XI Part of SPIE advanced Lithography and Patterning conference
作者: Shi, Weina Zhu, Liang Chen, Yuqian Huang, Jiao Wang, Jinze Xie, Qian Zhang, Bilun Xi, Yunfei Pan, Wenhao Zheng, Yuanxia Fan, Yongfa Cheng, Jin Zhao, Yu Zheng, Leiwu ChangXin Memory Technol Inc Hefei Anhui Peoples R China ASML China Shenzhen 3023 Chuangye Rd Shenzhen Guangdong Peoples R China ASML US 80 W Tasman San Jose CA 95131 USA
This paper demonstrates a full-chip OPC correction flow based on deep-learning etch model in a DUV litho-etch case. The flow leverages SEM metrology (eP5 fast E-beam tool, ASML- HMI) to collect massive data, automated... 详细信息
来源: 评论
Mitigation of the etch-induced intra-field overlay contribution  11
Mitigation of the etch-induced intra-field overlay contribut...
收藏 引用
conference on advanced etch technology and process integration for nanopatterning XI Part of SPIE advanced Lithography and Patterning conference
作者: van Haren, Richard Yildirim, Oktay Mouraille, Orion van Dijk, Leon Kumar, Kaushik Feurprier, Yannick Jehoul, Christiane Hermans, Jan ASML Flight Forum 1900 5846 NL-5657 EZ Eindhoven Netherlands Tokyo Electron Ltd Minato Ku Akasaka Biz Tower3-1 Akasaka 5 Chome Tokyo 1076325 Japan IMEC Kapeldreef 75 B-3001 Leuven Belgium
In order to fully utilize the potential of the latest and greatest scanner overlay performance capability in a manufacturing environment, all other (process-induced) overlay contributors should be well understood and ... 详细信息
来源: 评论
Dielectric material etch selectivity control in dual-frequency capacitively coupled plasmas with dc-superposition  11
Dielectric material etch selectivity control in dual-frequen...
收藏 引用
conference on advanced etch technology and process integration for nanopatterning XI Part of SPIE advanced Lithography and Patterning conference
作者: Zhang, Du Kim, Hojin McInerney, Kathleen Luan, Pingshan Rogalskyj, George Wang, Mingmei America LLC TEL Technol Ctr Albany NY 12203 USA
Selective plasma etching among different dielectric materials is crucial to the manufacturing of advanced logic and memory devices. For instance, in self-aligned contact (SAC) etching, achieving highly selective SiO2 ... 详细信息
来源: 评论
Buried Power Rail integration for CMOS Scaling beyond the 3 nm Node  11
Buried Power Rail Integration for CMOS Scaling beyond the 3 ...
收藏 引用
conference on advanced etch technology and process integration for nanopatterning XI Part of SPIE advanced Lithography and Patterning conference
作者: Gupta, A. Tao, Z. Radisic, D. Mertens, H. Pedreira, O. Varela Demuynck, S. Boemmels, J. Devriendt, K. Heylen, N. Wang, S. Kenis, K. Teugels, L. Sebaai, F. Lorant, C. Jourdan, N. Chan, B. T. Subramanian, S. Schleicher, F. Peter, A. Rassoul, N. Siew, Y. Briggs, B. Zhou, D. Rosseel, E. Capogreco, E. Mannaert, G. Sepulveda, A. Dupuy, E. Vandersmissen, K. Chehab, B. Murdoch, G. Sanchez, E. Altamirano Biesemans, S. Tokei, Zs Litta, E. Dentoni Horiguchi, N. IMEC Kapeldreef 75 B-3001 Leuven Belgium
As conventional pitch scaling is saturating, scaling boosters such as buried power rail (BPR) [1-4] and its extension to backside power delivery (BSPDN) [5, 6] could provide 20% and 30% area gain [7], respectively. BP... 详细信息
来源: 评论
Cleaning chamber walls after ITO plasma etching process  9
Cleaning chamber walls after ITO plasma etching process
收藏 引用
conference on advanced etch technology for nanopatterning IX
作者: Younesy, Salma Petit-Etienne, Camille Barnola, Sebastien Gouraud, Pascal Cunge, Gilles STMicroelectronics 850 Rue Jean Monnet F-38926 Crolles France LTM CNRS 17 Ave Martyrs F-38054 Grenoble 09 France CEA LETI 17 Ave Martyrs F-38054 Grenoble 09 France
The integration of new materials in the next generation of optoelectronic devices leads to several challenges. For instance, the etching of indium tin oxide (ITO, In2O3:Sn) faces the issue of the low volatility of In-... 详细信息
来源: 评论
FEOL dry etch process challenges of ultimate FinFET scaling and next generation device architectures beyond N3  9
FEOL dry etch process challenges of ultimate FinFET scaling ...
收藏 引用
conference on advanced etch technology for nanopatterning IX
作者: Tao, Z. Zhang, L. Dupuy, E. Chan, B. T. Altamirano-Sanchez, E. Lazzarino, F. IMEC Kapeldreef 75 B-3001 Heverlee Belgium
FinFETs have demonstrated significant performance improvement compared to planar devices, because of its superior short channel control and higher driving capability at a much smaller footprint. It has become the main... 详细信息
来源: 评论
Virtual Fabrication and advanced process Control Improve Yield for SAQP process Assessment with 16 nm Half-Pitch  8
Virtual Fabrication and Advanced Process Control Improve Yie...
收藏 引用
conference on advanced etch technology for nanopatterning VIII
作者: Vincent, Benjamin Lariviere, S. Wilson, C. Kim, R. H. Ervin, J. Coventor 3 Ave Quebec ZI Courtaboeuf F-91140 Villebon Sur Yvette France IMEC VZW Kapeldreef 75 B-3001 Leuven Belgium
This paper uses Virtual Fabrication to assess the imec 7 nm node (iN7) Self-Aligned Quadruple Patterning (SAQP) integration scheme for the 16 nm half-pitch Metal 2 line formation. We present first the technical challe... 详细信息
来源: 评论
etch aware computational patterning in the era of atomic precision processing  8
Etch aware computational patterning in the era of atomic pre...
收藏 引用
conference on advanced etch technology for nanopatterning VIII
作者: Ventzek, P. L. G. Shinagawa, J. Ranjan, A. Tokyo Electron Amer Inc 2400 Grove Blvd Austin TX 78741 USA
etch processes have always involved inherent process trade-offs related to fundamental plasma parameters to achieve planar patterning metrics related to damage, aspect ratio dependences and profile. Today, we are in a... 详细信息
来源: 评论