咨询与建议

限定检索结果

文献类型

  • 51 篇 会议

馆藏范围

  • 51 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 39 篇 工学
    • 39 篇 材料科学与工程(可...
    • 13 篇 电气工程
  • 35 篇 理学
    • 35 篇 物理学
  • 4 篇 医学
    • 4 篇 临床医学

主题

  • 8 篇 etching
  • 8 篇 plasma etching
  • 6 篇 etch
  • 5 篇 optical lithogra...
  • 4 篇 plasma
  • 4 篇 cfet
  • 3 篇 semiconducting w...
  • 3 篇 ler
  • 3 篇 line width rough...
  • 3 篇 silicon
  • 3 篇 lithography
  • 3 篇 high aspect rati...
  • 3 篇 sadp
  • 3 篇 back end of line
  • 3 篇 euv
  • 3 篇 nanosheet
  • 2 篇 metals
  • 2 篇 gaa
  • 2 篇 photomasks
  • 2 篇 electrical yield...

机构

  • 6 篇 imec kapeldreef ...
  • 5 篇 imec kapeldreef ...
  • 4 篇 america llc tel ...
  • 3 篇 chinese acad sci...
  • 2 篇 sandbox semicond...
  • 2 篇 tokyo electron l...
  • 2 篇 univ chinese aca...
  • 1 篇 cea leti 17 rue ...
  • 1 篇 stmicroelectroni...
  • 1 篇 cea leti 17 rue ...
  • 1 篇 univ grenoble al...
  • 1 篇 ibm corp tj wats...
  • 1 篇 arkema france f-...
  • 1 篇 ibm res semicond...
  • 1 篇 synopsys inc 675...
  • 1 篇 coventor 3 ave q...
  • 1 篇 univ bordeaux en...
  • 1 篇 imec b-3001 leuv...
  • 1 篇 ltm cnrs 17 ave ...
  • 1 篇 changxin memory ...

作者

  • 7 篇 lazzarino f.
  • 4 篇 ko akiteru
  • 4 篇 wei yayi
  • 4 篇 raley angelique
  • 4 篇 chen rui
  • 4 篇 biolsi peter
  • 4 篇 barnola s.
  • 4 篇 shao hua
  • 3 篇 li junjie
  • 3 篇 kumar kaushik
  • 3 篇 demuynck s.
  • 3 篇 chan b. t.
  • 3 篇 mannaert g.
  • 3 篇 mohanty nihar
  • 3 篇 horiguchi n.
  • 3 篇 tiron r.
  • 3 篇 dupuy e.
  • 2 篇 gupta a.
  • 2 篇 barros p. piment...
  • 2 篇 chopra meghali j...

语言

  • 51 篇 英文
检索条件"任意字段=Conference on Advanced Etch Technology and Process Integration for Nanopatterning XIII"
51 条 记 录,以下是31-40 订阅
排序:
Plasma etch selectivity study and material screening for Self-Aligned Gate Contact (SAGC)  8
Plasma etch selectivity study and material screening for Sel...
收藏 引用
conference on advanced etch technology for nanopatterning VIII
作者: Radisic, Dunja Demand, Marc Chan, Shihsheng Demuynck, Steven Kumar, Kaushik Metz, Andrew Teugels, Lieve Sun, Junling Smith, Jeffrey Sebaai, Farid Hopf, Toby Sanchez, Efrain Altamirano IMEC Kapeldreef 75 B-3001 Heverlee Belgium Tokyo Electron Ltd Minato Ku Akasaka Biz Tower3-1 Akasaka 5 Chome Tokyo 1076325 Japan
Self-Aligned Gate Contact (SAGC) integration is design based on formation of the two separate contacts to the source/drain (S/D) and to the gate (G), which are realized in two separate plasma etch steps. Essentially, ... 详细信息
来源: 评论
Self-Aligned Blocking integration Demonstration for Critical sub 30nm pitch Mx Level Patterning with EUV self-aligned double patterning  7
Self-Aligned Blocking Integration Demonstration for Critical...
收藏 引用
conference on advanced etch technology for nanopatterning VII
作者: Raley, Angelique Lee, Joe Smith, Jeffrey T. Sun, Xinghua Farrell, Richard A. Shearer, Jeffrey Xu, Yongan Ko, Akiteru Metz, Andrew W. Biolsi, Peter Devilliers, Anton Arnold, John Felix, Nelson IBM Res Semicond Technol Res San Jose CA USA Tokyo Elect Ltd Austin TX 78741 USA
We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning app... 详细信息
来源: 评论
Graphoepitaxy integration and pattern transfer of lamellar silicon-containing high-χ block copolymers  7
Graphoepitaxy integration and pattern transfer of lamellar s...
收藏 引用
conference on advanced etch technology for nanopatterning VII
作者: Bezard, P. Chevalier, X. Legrain, A. Navarro, C. Nicolet, C. Fleury, G. Cayrefourcq, I. Tiron, R. Zelsmann, M. Univ Grenoble Alpes CNRS LTM Minatec Campus317 Rue Martyrs F-38000 Grenoble France Grp Rech Lacq ARKEMA F-64170 Lacq France Univ Bordeaux ENSCPB CNRS LCPO F-33607 Pessac France CEA LETI Minatec Campus17 Rue Martyrs F-38000 Grenoble France
In this work, we present our recent achievements on the integration and transfer etching of a novel silicon-containing high-chi block copolymer for lines/spaces applications. Developed carbo-silane BCPs are synthesize... 详细信息
来源: 评论
Self-Aligned Blocking integration Demonstration for Critical sub 40nm pitch Mx Level Patterning  6
Self-Aligned Blocking Integration Demonstration for Critical...
收藏 引用
SPIE conference on advanced etch technology for Nano-patterning VI (SPIE etch) held as Part of the International Symposium on advanced Lithography
作者: Raley, Angelique Mohanty, Nihar Sun, Xinghua Farrell, Richard A. Smith, Jeffrey T. Ko, Akiteru Metz, Andrew W. Biolsi, Peter Devilliers, Anton America LLC TEL Technol Ctr Albany NY 12203 USA
Multipatterning has enabled continued scaling of chip technology at the 28nm node and beyond. Self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) as well as Litho-etch/Litho-etch (LELE) ... 详细信息
来源: 评论
NanoImprint, DSA and Multi-Beam Lithography: Patterning Technologies with New integration Challenges  6
NanoImprint, DSA and Multi-Beam Lithography: Patterning Tech...
收藏 引用
SPIE conference on advanced etch technology for Nano-patterning VI (SPIE etch) held as Part of the International Symposium on advanced Lithography
作者: Landis, S. Teyssedre, H. Claveau, G. Servin, I. Delachat, F. Pourtreau, M. L. Gharbi, A. Barros, P. Pimenta Tiron, R. Nouri, L. Posseme, N. May, M. Brianceau, P. Barnola, S. Blancquaert, Y. Pradelles, J. Essomba, P. Bernadac, A. Dal'zotto, B. Bos, S. Argoud, M. Chamiot-Maitral, G. Sarrazin, A. Tallaron, C. Lapeyre, C. Pain, L. CEA LETI Minatec Campus17 Rue Martyrs Grenoble F-38054 Grenoble 9 France
Through three collaborative R&D programs, IDEAL for Directed Self-Assembly Lithography, IMAGINE for Massively Parallel Electron Beam Lithography and INSPIRE for NanoImprint Lithography, CEA-LETI is currently asses... 详细信息
来源: 评论
Optical metrology for advanced process control: full module metrology solutions  5
Optical metrology for advanced process control: full module ...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning V held as part of the International Symposium on advanced Lithography
作者: Bozdog, Cornel Turovets, Igor ReVera Inc 3090 Oakmead Village Dr Santa Clara CA 95051 USA Nova Measuring Instruments LTD Weizmann Sci Pk IL-7610201 Rehovot Israel
Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithogra... 详细信息
来源: 评论
Reactive ion etching challenges for half-pitch sub-10-nm line-and-space pattern fabrication using directed self-assembly lithography  5
Reactive ion etching challenges for half-pitch sub-10-nm lin...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning V held as part of the International Symposium on advanced Lithography
作者: Kasahara, Yusuke Seino, Yuriko Sato, Hironobu Kubota, Hitoshi Kanai, Hideki Kihara, Naoko Minegishi, Shinya Miyagi, Ken Tobana, Toshikatsu Shiraishi, Masayuki Kobayashi, Katsutoshi Kodera, Katsuyoshi Yamano, Hitoshi Kawamonzen, Yoshiaki Azuma, Tsukasa EUVL Infrastruct Dev Ctr Inc DSA Res Dept 16-1 Onogawa Tsukuba Ibaraki 3058569 Japan
Directed self-assembly is a candidate process for sub-15-nm patterning applications. It will be necessary to develop the DSA process fully and consider process integration to adapt the DSA process for use in semicondu... 详细信息
来源: 评论
Self-aligned Quadruple Patterning integration using spacer on spacer pitch splitting at the resist level for sub 32nm pitch applications  5
Self-aligned Quadruple Patterning Integration using spacer o...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning V held as part of the International Symposium on advanced Lithography
作者: Raley, Angelique Thibaut, Sophie Mohanty, Nihar Subhadeep, Kal Nakamura, Satoru Ko, Akiteru O'Meara, David Tapily, Kandabara Consiglio, Steve Biolsi, Peter America LLC TEL Technol Ctr Albany NY 12203 USA
Multiple patterning integrations for sub 193nm litho pitch resolution are becoming increasingly creative in pursuit of cost reduction and achieving desired critical dimension. Implementing these schemes into productio... 详细信息
来源: 评论
Plasma etching processes for the integration of InP based compounds on 200mm Si wafer for photonic applications  5
Plasma etching processes for the integration of InP based co...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning V held as part of the International Symposium on advanced Lithography
作者: Pargon, E. Gay, G. Petit-Etienne, C. Brihoum, M. Bizoueme, M. Burtin, P. Barnola, S. Univ Grenoble Alpes CNRS CEA Leti Minatec LTM 17 Rue Martyrs F-38054 Grenoble France CEA Leti 17 Rue Martyrs F-38054 Grenoble 9 France
Ar/Cl-2/CH4 gas mixture has been investigated for the development of plasma etching process dedicated to the patterning of 3 mu m-deep InP structures integrated on 200mm SiO2 carrier wafer. The plasma process requirem... 详细信息
来源: 评论
RIE challenges for sub-15 nm line-and-space patterning using directed self-assembly lithography with coordinated line epitaxy (COOL) process  4
RIE challenges for sub-15 nm line-and-space patterning using...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning IV held as part of the International Symposium on advanced Lithography
作者: Kasahara, Y. Seino, Y. Kobayashi, K. Kanai, H. Sato, H. Kubota, H. Tobana, T. Minegishi, S. Miyagi, K. Kihara, N. Kodera, K. Shiraishi, M. Kawamonzen, Y. Nomura, S. Azuma, T. EUVL Infrastruct Dev Ctr Inc Tsukuba Ibaraki 3058569 Japan
Directed self-assembly (DSA) is one of the promising candidates for next-generation lithography. We developed a novel simple sub-15 nm line-and-space (L/S) patterning process, the "coordinated line epitaxy (COOL)... 详细信息
来源: 评论