咨询与建议

限定检索结果

文献类型

  • 51 篇 会议

馆藏范围

  • 51 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 39 篇 工学
    • 39 篇 材料科学与工程(可...
    • 13 篇 电气工程
  • 35 篇 理学
    • 35 篇 物理学
  • 4 篇 医学
    • 4 篇 临床医学

主题

  • 8 篇 etching
  • 8 篇 plasma etching
  • 6 篇 etch
  • 5 篇 optical lithogra...
  • 4 篇 plasma
  • 4 篇 cfet
  • 3 篇 semiconducting w...
  • 3 篇 ler
  • 3 篇 line width rough...
  • 3 篇 silicon
  • 3 篇 lithography
  • 3 篇 high aspect rati...
  • 3 篇 sadp
  • 3 篇 back end of line
  • 3 篇 euv
  • 3 篇 nanosheet
  • 2 篇 metals
  • 2 篇 gaa
  • 2 篇 photomasks
  • 2 篇 electrical yield...

机构

  • 6 篇 imec kapeldreef ...
  • 5 篇 imec kapeldreef ...
  • 4 篇 america llc tel ...
  • 3 篇 chinese acad sci...
  • 2 篇 sandbox semicond...
  • 2 篇 tokyo electron l...
  • 2 篇 univ chinese aca...
  • 1 篇 cea leti 17 rue ...
  • 1 篇 stmicroelectroni...
  • 1 篇 cea leti 17 rue ...
  • 1 篇 univ grenoble al...
  • 1 篇 ibm corp tj wats...
  • 1 篇 arkema france f-...
  • 1 篇 ibm res semicond...
  • 1 篇 synopsys inc 675...
  • 1 篇 coventor 3 ave q...
  • 1 篇 univ bordeaux en...
  • 1 篇 imec b-3001 leuv...
  • 1 篇 ltm cnrs 17 ave ...
  • 1 篇 changxin memory ...

作者

  • 7 篇 lazzarino f.
  • 4 篇 ko akiteru
  • 4 篇 wei yayi
  • 4 篇 raley angelique
  • 4 篇 chen rui
  • 4 篇 biolsi peter
  • 4 篇 barnola s.
  • 4 篇 shao hua
  • 3 篇 li junjie
  • 3 篇 kumar kaushik
  • 3 篇 demuynck s.
  • 3 篇 chan b. t.
  • 3 篇 mannaert g.
  • 3 篇 mohanty nihar
  • 3 篇 horiguchi n.
  • 3 篇 tiron r.
  • 3 篇 dupuy e.
  • 2 篇 gupta a.
  • 2 篇 barros p. piment...
  • 2 篇 chopra meghali j...

语言

  • 51 篇 英文
检索条件"任意字段=Conference on Advanced Etch Technology and Process Integration for Nanopatterning XIII"
51 条 记 录,以下是41-50 订阅
排序:
Challenges and mitigation strategies for resist trim etch in resist-mandrel based SAQP integration scheme  4
Challenges and mitigation strategies for resist trim etch in...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning IV held as part of the International Symposium on advanced Lithography
作者: Mohanty, Nihar Franke, Elliott Liu, Eric Raley, Angelique Smith, Jeffrey Farrell, Richard Wang, Mingmei Ito, Kiyohito Das, Sanjana Ko, Akiteru Kumar, Kaushik Ranjan, Alok Meara, Dave O' Nawa, Kenjiro Scheer, Steven DeVillers, Anton Biolsi, Peter America LLC TEL Technol Ctr Albany NY 12203 USA
Patterning the desired narrow pitch at 10nm technology node and beyond, necessitates employment of either extreme ultra violet (EUV) lithography or multi-patterning solutions based on 193nm-immersion lithography. With... 详细信息
来源: 评论
Spectral analysis of the linewidth and line edge roughness transfer during a self-aligned double patterning process  4
Spectral analysis of the linewidth and line edge roughness t...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning IV held as part of the International Symposium on advanced Lithography
作者: Dupuy, E. Pargon, E. Fouchier, M. Grampeix, H. Pradelles, J. Darnon, M. Pimenta-Barros, P. Barnola, S. Joubert, O. Uni Grenoble Alpes CNRS CEA LTM F-38054 Grenoble 9 France CEA LETI F-38054 Grenoble 9 France
We report a 20 nm half-pitch self-aligned double patterning (SADP) process based on a resist-core approach. Line/space 20/20 nm features in silicon are successfully obtained with CDvariation, LWR and LER of 0.7 nm, 2.... 详细信息
来源: 评论
A way to integrate multiple block layers for middle of line contact patterning  4
A way to integrate multiple block layers for middle of line ...
收藏 引用
SPIE conference on advanced etch technology for nanopatterning IV held as part of the International Symposium on advanced Lithography
作者: Kunnen, E. Demuynck, S. Brouri, M. Boemmels, J. Versluijs, J. Ryckaert, J. IMEC B-3001 Heverlee Belgium Lam Res Corp B-3001 Heverlee Belgium
It is clear today that further scaling towards smaller dimensions and pitches requires a multitude of additional process steps. Within this work we look for solutions to achieve a middle of line 193i based patterning ... 详细信息
来源: 评论
Effect of etch pattern transfer on local overlay (OVL) margin in 28nm gate integration
Effect of etch pattern transfer on local overlay (OVL) margi...
收藏 引用
conference on advanced etch technology for nanopatterning III held as part of the SPIE advanced Lithography Symposium
作者: Ros, Onintza Gouraud, Pascal Le-Gratiet, Bertrand Gardin, Christian Ducote, Julien Pargon, Erwine STMicroelectronics F-38926 Crolles France LTM CNRS Grenoble France
One of the main process control challenges in logic process integration is the contact to gate overlay. Usual ways for overlay control are run to run corrections (high order process corrections) and scanner control (b... 详细信息
来源: 评论
Precision integrated thickness control with gas cluster ion beam etch
Precision integrated thickness control with gas cluster ion ...
收藏 引用
conference on advanced etch technology for nanopatterning III held as part of the SPIE advanced Lithography Symposium
作者: Russell, N. M. Gizzo, V. LaRose, J. D. Pfeifer, B. D. Dasaka, R. Economikos, L. Wise, R. TEL Technol Ctr Amer LLC TEL Epion Inc 225 Fuller RdSte 214 Albany NY 12203 USA IBM Syst & Technol Grp Hopewell Jct NY 12533 USA
Gas cluster ion beam (GCIB) etching is a technique, which among other attributes, enables advanced process control of feature height uniformity, increasingly critical to sub 16nm FINFET performance. GCIB can have a hi... 详细信息
来源: 评论
Large-Radius Neutral Beam Enhanced Chemical Vapor Deposition process for Non-Porous Ultra-low-k SiOCH
Large-Radius Neutral Beam Enhanced Chemical Vapor Deposition...
收藏 引用
conference on advanced etch technology for nanopatterning III held as part of the SPIE advanced Lithography Symposium
作者: Kikuchi, Yoshiyuki Sakakibara, Yasuaki Samukawa, Seiji Tokyo Electron Ltd TEL Technol Ctr Sendai Izumi Ku 3-2-1 Osawa Sendai Miyagi Japan Tohoku Univ Inst Fluid Sci Aoba Ku Sendai Miyagi 9808577 Japan Tohoku Univ Adv Inst Mat Res World Premier Int Ctr Initiat Aoba Ku Sendai Miyagi 9808577 Japan
Pores in ultra-low-k carbon-doped silicon oxide (SiOCH) film have been a serious problem because they produce fragile film strength, with the film incurring damage from integration and diffusion of Cu atoms in thermal... 详细信息
来源: 评论
etch challenges for DSA implementation in CMOS via patterning
Etch challenges for DSA implementation in CMOS via patternin...
收藏 引用
conference on advanced etch technology for nanopatterning III held as part of the SPIE advanced Lithography Symposium
作者: Barros, P. Pimenta Barnola, S. Gharbi, A. Argoud, M. Servin, I. Tiron, R. Chevalier, X. Navarro, C. Nicolet, C. Lapeyre, C. Monget, C. Martinez, E. CEA LETI 17 Rue Martyrs F-38054 Grenoble France ARKEMA FRANCE F-64170 Lacq France ST Microlect F-38920 Crolles France
This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approa... 详细信息
来源: 评论
advanced Plasma etch for the 10nm node and Beyond
Advanced Plasma Etch for the 10nm node and Beyond
收藏 引用
2nd advanced etch technology for nanopatterning conference (AETNC) held as part of the SPIE advanced Lithography Symposium
作者: Joseph, E. A. Engelmann, S. U. Miyazoe, H. Bruce, R. L. Nakamura, M. Suzuki, T. Hoinkis, M. IBM Corp TJ Watson Res Ctr Yorktown Hts NY USA
As 14nm node devices begin to permeate into the semiconductor industry, it is becoming increasingly evident that continued pitch scaling is getting more complex throughout the FEOL, MOL and BEOL. The adoption of patte... 详细信息
来源: 评论
Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module
Yield enhancement of 3D flash devices through broadband brig...
收藏 引用
2nd advanced etch technology for nanopatterning conference (AETNC) held as part of the SPIE advanced Lithography Symposium
作者: Lee, Jung-Youl Seo, Il-Seok Ma, Seong-Min Kim, Hyeon-Soo Kim, Jin-Woong Kim, Dooh Cross, Andrew SK Hynix Inc Icheon Si 467701 Gyeonggi Do South Korea
The migration to a 3D implementation for NAND flash devices is seen as the leading contender to replace traditional planar NAND architectures. However the strategy of replacing shrinking design rules with greater aspe... 详细信息
来源: 评论
Patterning and etch challenges for future DRAM and other high aspect ratio memory device fabrication
Patterning and etch challenges for future DRAM and other hig...
收藏 引用
2nd advanced etch technology for nanopatterning conference (AETNC) held as part of the SPIE advanced Lithography Symposium
作者: Rueger, N. R. McGinnis, A. Good, F. Schrinsky, A. J. Kiehlbauch, M. Micron Technol Inc Boise ID 83707 USA
Current challenges are outlined for masking materials that enable future high aspect ratio (AR> 25) etch requirements. At such high aspect ratios, and 20nm to 30nm feature sizes, ion energy flux loss due to sidewal... 详细信息
来源: 评论