The proceedings contains 120 papers. The following topics are dealt with: verification;statistical design techniques;switchbox routing;high-level synthesis;timing simulators;channel routing;multilevel synthesis;device...
详细信息
ISBN:
(纸本)0818608692
The proceedings contains 120 papers. The following topics are dealt with: verification;statistical design techniques;switchbox routing;high-level synthesis;timing simulators;channel routing;multilevel synthesis;device simulation and modeling;CMOS matrix layout;finite-state-machine synthesis;algorithmic fault simulation techniques;global routing;fault simulation on high-performance computers;power-bus current estimation;performance issues for VLSI;self-test and testable design techniques;multilevel simulation;processor architecture issues for CAD;analog layout parallel simulation techniques;circuit verification;parametric issues and techniques in testing;switch-level simulation;ROM-based CMOS cell generation;test compaction and scheduling;frameworks for CAD;topological routing;automatic test pattern generation;circuit simulation;floorplanning;technology mapping and PLAs;analog circuit synthesis and optimization;placement;reconfiguration for yield enhancement;layout data structures;and analog layout. ? ?
The proceedings contain 547 papers. The topics discussed include: the applied research of sludge materials in the automotive design;the design experience reuse system modeling and external locus sharing of enterprise ...
ISBN:
(纸本)9781424452675
The proceedings contain 547 papers. The topics discussed include: the applied research of sludge materials in the automotive design;the design experience reuse system modeling and external locus sharing of enterprise resource;research on cultivation mode for talent of art and design department;study on concept design system based on the whole life cycle;study on component and structure of blasting design system of tunnel excavation based on CAD technology;research on intelligent assistant system of product form realization orient process;CAD modeling for tubes in industrial design;function principle structure model for conceptual design;research and application for virtual exhibition room of product design based on multimedia network technology;the application research of the RBF network on computer-aided creative design;and exploration of education for sustainable development of art university students.
The proceedings contain 164 papers. The topics discussed include: fine-granular computation and data layout reorganization for improving locality;physics-aware differentiable discrete codesign for diffractive optical ...
ISBN:
(纸本)9781450392174
The proceedings contain 164 papers. The topics discussed include: fine-granular computation and data layout reorganization for improving locality;physics-aware differentiable discrete codesign for diffractive optical neural networks;big-little chiplets for in-memory acceleration of DNNs: a scalable heterogeneous architecture;false data injection attacks on sensor systems;stochastic mixed-signal circuit design for in-sensor privacy;sensor security: current progress, research challenges, and future roadmap;design and technology co-optimization utilizing multi-bit flip-flop cells;transitive closure graph-based warpage-aware floorplanning for package designs;SODA synthesizer: an open-source, multi-level, modular, extensible compiler from high-level frameworks to silicon;a scalable methodology for agile chip development with open-source hardware components;a novel semi-analytical approach for fast electromigration stress analysis in multi-segment interconnects;and sub-resolution assist feature generation with reinforcement learning and transfer learning.
The proceedings contain 93 papers. The topics discussed include: physical planning with retiming;corner block list: an effective and efficient topological representation of non-slicing floorplan;modeling non-slicing f...
ISBN:
(纸本)0780364457
The proceedings contain 93 papers. The topics discussed include: physical planning with retiming;corner block list: an effective and efficient topological representation of non-slicing floorplan;modeling non-slicing floorplans with binary trees;event driven simulation without loops or conditionals;observability analysis of embedded software for coverage-directed validation;a methodology for verifying memory access protocols in behavioral synthesis;symbolic debugging scheme for optimized hardware and software;automated data dependency size estimation with a partially fixed execution ordering;effects of global interconnect optimizations on performance estimation of deep submicron design;impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits;and miller factor for gate-level coupling delay calculation.
The proceedings contain 133 papers. The topics discussed include: fidelity metrics for estimation models;fast performance evaluation of fixed-point systems with un-smooth operators;variation-aware layout-driven schedu...
ISBN:
(纸本)9781424481927
The proceedings contain 133 papers. The topics discussed include: fidelity metrics for estimation models;fast performance evaluation of fixed-point systems with un-smooth operators;variation-aware layout-driven scheduling for performance yield optimization;analysis and optimization of SRAM robustness for double patterning lithography;maximum-information storage system: concept, implementation and application;multi-wafer virtual probe: minimum-cost variation characterization by exploring wafer-to-wafer correlation;on behavioral model equivalence checking for large analog/mixed signal systems;an algorithm for exploiting modeling error statistics to enable robust analog optimization;a simple implementation of determinant decision diagram;resilient microprocessor design for improving performance and energy efficiency;and process variation aware performance modeling and dynamic power management for multicore systems.
The proceedings contain 123 papers. The topics discussed include: layout decomposition for triple patterning lithography;optimal layout decomposition for double patterning technology;a framework for double patterning-...
ISBN:
(纸本)9781457713989
The proceedings contain 123 papers. The topics discussed include: layout decomposition for triple patterning lithography;optimal layout decomposition for double patterning technology;a framework for double patterning-enabled design;pseudo-functional testing for small delay defects considering power supply noise effects;a low-power memory architecture with application-aware power management for motion and disparity estimation in multiview video coding;bandwidth-aware reconfigurable cache design with hybrid memory technologies;feedback control based cache reliability enhancement for emerging multicores;methodologies of system-on-chip property checking;measuring verification progress and quality;ripple: an effective routability-driven placer by iterative cell movement;routability-driven analytical placement for mixed-size circuit designs;and efficient analytical macromodeling of large analog circuits by transfer function trajectories.
The conference materials contain 129 papers. The topics covered include sequential fault simulation;testability and reliability in high-level synthesis;binary decision diagrams;interconnect analysis and modeling;new d...
详细信息
ISBN:
(纸本)0818644923
The conference materials contain 129 papers. The topics covered include sequential fault simulation;testability and reliability in high-level synthesis;binary decision diagrams;interconnect analysis and modeling;new developments in IC testing;layout and database aspects in high-level synthesis;synthesis for lookup-based field-programmable gate arrays;efficient simulation techniques;issues in system-level timing;placement algorithms;statistical design and yield analysis;technology mapping for delay and power optimization;layout issues for multichip modules and field-programmable gate arrays;asynchronous circuit synthesis and verification;numerical device simulation;retiming;CAD issues in system design;analog modeling and test;partitioning and floorplanning;sequential logic optimization;Boolean algebraic test generation;scheduling;technology mapping;routing for FPGAs and FPICs;verification and diagnostics;application specific data path synthesis;combinatorial verification;zero-skew clock routing with delay optimization;built-in self-testing;embedded processor design;high performance interconnects;design for testability and diagnosis;buffer and memory sizing;crosstalk reduction;novel design for test techniques;modeling for high-level synthesis;discrete simulation, and topics in physical design.
The proceedings contain 152 papers. The topics discussed include: routability-driven global placer target on removing global and local congestion for VLSI designs;acceleration method for learning fine-layered optical ...
ISBN:
(纸本)9781665445078
The proceedings contain 152 papers. The topics discussed include: routability-driven global placer target on removing global and local congestion for VLSI designs;acceleration method for learning fine-layered optical neural networks;an optimal algorithm for splitter and buffer insertion in adiabatic quantum-flux-parametron circuits;lower voltage for higher security: using voltage overscaling to secure deep neural networks;demystifying the characteristics of high bandwidth memory for real-time systems;a convergence monitoring method for DNN training of on-device task adaptation;a unified framework for layout pattern analysis with deep causal estimation;and analytical modeling of transient electromigration stress based on boundary reflections.
The proceedings contain 121 papers. The topics discussed include: a scalable decision procedure for fixed-width bit-vectors;generation of optimal obstacle-avoiding rectilinear steiner minimum tree;obstacle-avoiding re...
ISBN:
(纸本)9781605588001
The proceedings contain 121 papers. The topics discussed include: a scalable decision procedure for fixed-width bit-vectors;generation of optimal obstacle-avoiding rectilinear steiner minimum tree;obstacle-avoiding rectilinear steiner tree construction based on steiner point selection;how to consider shorts and guarantee yield rate improvement for redundant wire insertion;power-switch routing for coarse-grain MTCMOS technologies;scheduling with soft constraints;REMiS: run-time energy minimization scheme in a reconfigurable processor with dynamic power-gated instruction set;resilient circuits - enabling energy-efficient performance and reliability;resilience in computer systems and networks;scan power reduction in linear test data compression scheme;compacting test vector sets via strategic use of implications;and pre-ATPG path selection for near optimal post-ATPG process space coverage.
The proceedings contain 380 papers. The topics discussed include: a research of color universal design for hospital's orientational sign;the personnel training and quality education of college interior design prof...
ISBN:
(纸本)9781424479719
The proceedings contain 380 papers. The topics discussed include: a research of color universal design for hospital's orientational sign;the personnel training and quality education of college interior design profession;research on bionic design in product innovation;a electromechanical parts library for 3D-MID design;a research into application of rebuilding design of container;teaching reform and practice in curriculum of engineering science of industrial design;research on product design quality control methods based on QFD;elastic information matching technology and its application in electronic recruitment;overview of artificial emotion in music;new perspective on product design in the period of low carbon economy;ECQFD & LCA based methodology for sustainable product design;the game expression of product design in low-carbon economy era;humanization design and harmonization design in product designing;and the matching relationship between product function design and children's ability.
暂无评论