The proceedings contain 134 papers. The topics discussed include: a fast thermal-aware fixed-outline floorplanning methodology based on analytical models;analytical solution of Poisson's equation and its applicati...
ISBN:
(纸本)9781450359504
The proceedings contain 134 papers. The topics discussed include: a fast thermal-aware fixed-outline floorplanning methodology based on analytical models;analytical solution of Poisson's equation and its application to VLSI global placement;novel proximal group ADMM for placement considering fogging and proximity effects;towards provably-secure analog and mixed-signal locking against overproduction;efficient hardware acceleration of CNNs using logarithmic data representation with arbitrary log-base;scalable-effort ConvNets for multilevel classification;emerging reconfigurable nanotechnologies: can they support future electronics?;emerging reconfigurable nanotechnologies: can they support future electronics?;macro-aware row-style power delivery network design for better routability;assured deep learning: practical defense against adversarial attacks;and Tetris: re-architecting convolutional neural network computation for machine learning accelerators.
The proceedings contain 142 papers. The topics discussed include: a fast and high-capacity electromagnetic solution for high-speed IC design;impedance extraction for 3-D structures with multiple dielectrics using prec...
详细信息
ISBN:
(纸本)1424413826
The proceedings contain 142 papers. The topics discussed include: a fast and high-capacity electromagnetic solution for high-speed IC design;impedance extraction for 3-D structures with multiple dielectrics using preconditioned boundary element method;statistical analysis of RF circuits using combined circuit simulator-full wave field solver approach;slot allocation using logical networks for TDM virtual-circuit configuration for network-on-chip;real-time adaptive on-chip communication scheme;using functional independence conditions to optimize the performance latency-insensitive systems;a geometric approach for early power grid verification using current constraints;stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks;and parallel domain decomposition for simulation of large-scale power grids.
The proceedings contain 380 papers. The topics discussed include: a research of color universal design for hospital's orientational sign;the personnel training and quality education of college interior design prof...
ISBN:
(纸本)9781424479719
The proceedings contain 380 papers. The topics discussed include: a research of color universal design for hospital's orientational sign;the personnel training and quality education of college interior design profession;research on bionic design in product innovation;a electromechanical parts library for 3D-MID design;a research into application of rebuilding design of container;teaching reform and practice in curriculum of engineering science of industrial design;research on product design quality control methods based on QFD;elastic information matching technology and its application in electronic recruitment;overview of artificial emotion in music;new perspective on product design in the period of low carbon economy;ECQFD & LCA based methodology for sustainable product design;the game expression of product design in low-carbon economy era;humanization design and harmonization design in product designing;and the matching relationship between product function design and children's ability.
The proceedings contain 169 papers. The topics discussed include: optimizing stochastic computing for low latency inference of convolutional neural networks;SETGAN: scale and energy trade-off GANs for image applicatio...
The proceedings contain 169 papers. The topics discussed include: optimizing stochastic computing for low latency inference of convolutional neural networks;SETGAN: scale and energy trade-off GANs for image applications on mobile platforms;fixed-priority scheduling and controller co-design for time-sensitive networks;the safe and effective application of probabilistic techniques in safety-critical systems;COALA: concurrently assigning wire segments to layers for 2D global routing;- routability-driven pin-access optimization for monolithic 3D IC designs;coupling extraction and optimization for heterogeneous 2.5D chiplet-package co-design;Pin-3D: a physical synthesis and post-layout optimization flow for heterogeneous monolithic 3D ICs;electromigration immortality check considering joule heating effect for multisegment wires;and a non-Gaussian adaptive importance sampling method for high-dimensional and multi-failure-region yield analysis.
The proceedings contain 200 papers. The topics discussed include: Meltrix: a RRAM-based polymorphic architecture enhanced by function synthesis;PDNSig: identifying multi-tenant cloud FPGAs with power distribution netw...
ISBN:
(纸本)9798350315592
The proceedings contain 200 papers. The topics discussed include: Meltrix: a RRAM-based polymorphic architecture enhanced by function synthesis;PDNSig: identifying multi-tenant cloud FPGAs with power distribution network-based signatures;HAPIC: a scalable, lightweight and reactive cache for persistent-memory-based index;floorplanning for embedded multi-die interconnect bridge packages;CircuitOps: an ML infrastructure enabling generative AI for VLSI circuit optimization;FLEX : introducing flexible execution on CGRA with spatio-temporal vector dataflow;bespoke approximation of multiplication-accumulation and activation targeting printed multilayer perceptrons;side channel-assisted inference attacks on machine learning-based ECG classification;path-based processing using in-memory systolic arrays for accelerating data-intensive applications;and clock aware low power placement.
The proceedings contain 133 papers. The topics discussed include: NVsim-CAM: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory;design technology for fault-free and maximally-pa...
ISBN:
(纸本)9781450344661
The proceedings contain 133 papers. The topics discussed include: NVsim-CAM: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory;design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip;MrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes;security and privacy threats to on-chip non-volatile memories and countermeasures;a data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel;a tensor-based Volterra series black-box nonlinear system identification and simulation framework;duplex: simultaneous parameter-performance exploration for optimizing analog circuits;scalable, high-quality SAT-based multi-layer escape routing;redistribution layer routing for integrated fan-out wafer-level chip-scale packages;chip editor: leveraging circuit edit for logic obfuscation and trusted fabrication;arbitrary streaming permutations with minimum memory and latency;and ICCAD-2016 CAD contest in pattern classification for integrated circuit design space analysis and benchmark suite.
The proceedings contain 119 papers. The topics discussed include: verifying start-up failures in coupled ring oscillators in presence of variability using predictive global optimization;a just-in-time customizable pro...
ISBN:
(纸本)9781479910717
The proceedings contain 119 papers. The topics discussed include: verifying start-up failures in coupled ring oscillators in presence of variability using predictive global optimization;a just-in-time customizable processor;an efficient compiler framework for cache bypassing on GPUs;an efficient graph sparsification approach to scalable harmonic balance (HB) analysis of strongly nonlinear RF circuits;MOMA: mapping of memory-intensive software-pipelined applications for systems with multiple memory controllers;modeling and analysis of (nonstationary) low frequency noise in nano devices: a synergistic approach based on stochastic chemical kinetics;parallel power grid analysis using preconditioned GMRES solver on CPU-GPU platforms;redundancy-aware electromigration checking for mesh power grids;a vectorless framework for power grid electromigration checking;scalable power grid transient analysis via MOR-assisted time-domain simulations.
The proceedings contain 127 papers. The topics discussed include: synthesis from multi-cycle atomic actions as a solution to the timing closure problem;on the numbers of variables to represent sparse logic functions;e...
ISBN:
(纸本)9781424428205
The proceedings contain 127 papers. The topics discussed include: synthesis from multi-cycle atomic actions as a solution to the timing closure problem;on the numbers of variables to represent sparse logic functions;effective IR-drop reduction in at-speed scan testing using distribution-controlling X-identification;temperature-aware test scheduling for multiprocessor systems-on-chip;on capture power-aware test data compression for scan-based testing;yield-aware hierarchical optimization of large analog integrated circuits;model reduction via projection onto non-linear manifolds with applications to analog circuits and bio-chemical systems;algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure;delay-optimal simultaneous technology mapping and placement with applications to timing optimization;and a polynomial time approximation scheme for timing constrained minimum cost layer assignment.
The proceedings contain 130 papers. The topics discussed include: self-aware cyber-physical systems-on-chip;reduced overhead error compensation for energy efficient machine learning kernels;a light-weighted software-c...
ISBN:
(纸本)9781467383882
The proceedings contain 130 papers. The topics discussed include: self-aware cyber-physical systems-on-chip;reduced overhead error compensation for energy efficient machine learning kernels;a light-weighted software-controlled cache for PCM-based main memory systems;formal methods for emerging technologies;self learning analog/mixed-signal/RF systems: dynamic adaptation to workload and environmental uncertainties;STRAP: stress-aware placement for aging mitigation in runtime reconfigurable architectures;fine-grained aging prediction based on the monitoring of run-time stress using DFT infrastructure;yield forecasting in fab-to-fab production migration based on Bayesian model fusion;code transformations based on speculative SDC scheduling;communication scheduling and Buslet synthesis for low-interconnect HLS designs;elasticflow: a complexity-effective approach for pipelining irregular loop nests;and global routing with inherent static timing constraints.
The proceedings contain 145 papers. The topics discussed include: leveraging value locality for efficient design of a hybrid cache in multicore processors;ORCHARD: visual object recognition accelerator based on approx...
ISBN:
(纸本)9781538630938
The proceedings contain 145 papers. The topics discussed include: leveraging value locality for efficient design of a hybrid cache in multicore processors;ORCHARD: visual object recognition accelerator based on approximate in-memory processing;obfuscating the interconnects: low-cost and resilient full-chip layout camouflaging;CycSAT: SAT-based attack on cyclic logic encryptions;threshold-based obfuscated keys with quantifiable security against invasive readout;mixed-cell-height detailed placement considering complex minimum-implant-area constraints;blockage-aware terminal propagation for placement wirelength minimization;ir-drop aware design & technology co-optimization for N5 node with different device and cell height options;an analog sat solver based on a deterministic dynamical system;connecting spectral techniques for graph coloring and Eigen properties of coupled dynamics: a pathway for solving combinatorial optimizations;accelerating functional timing analysis with encoding duplication removal and redundant state propagation;design automation and testing of monolithic 3D ICs: opportunities, challenges, and solutions;leveraging recovery effect to reduce electromigration degradation in power/ground TSV;thermal-sensitive design and power optimization for a 3D Torus-based optical NoC;VoCaM: visualization oriented convolutional neural network acceleration on mobile systems;and offshore oil spill monitoring and detection: improving risk management for offshore petroleum cyber-physical systems.
暂无评论