The proceedings contain 25 papers. The topics discussed include: FlightVGM: efficient video generation model inference with online sparsification and hybrid precision on FPGAs;TreeLUT: an efficient alternative to deep...
ISBN:
(纸本)9798400713965
The proceedings contain 25 papers. The topics discussed include: FlightVGM: efficient video generation model inference with online sparsification and hybrid precision on FPGAs;TreeLUT: an efficient alternative to deep neural networks for inference acceleration using gradient boosted decision trees;greater than the sum of its LUTs: scaling up LUT-based neural networks with AmigoLUT;wa-hls4ml and lui-gnn: a benchmark and GNN based surrogate model for hls4ml resource and latency estimation;InTRRA: inter-task resource-repurposing accelerator for efficient transformer inference on FPGAs;DPUV4E: high-throughput DPU architecture design for CNN on versal ACAP;and performance analysis of GEMM workloads on the AMD versal platform.
The proceedings contain 18 papers. The topics discussed include: multi-input serial adders for FPGA-like computational fabric;logic scaling options for the next 10 years: from FinFet to CFET, from dual damascene to se...
ISBN:
(纸本)9781450391498
The proceedings contain 18 papers. The topics discussed include: multi-input serial adders for FPGA-like computational fabric;logic scaling options for the next 10 years: from FinFet to CFET, from dual damascene to semi damascene;a high throughput multi-bit-width 3D systolic accelerator for NAS optimized deep neural networks on FPGA;automated accelerator optimization aided by graph neural networks;hardware acceleration of nonparametric belief propagation for efficient robot manipulation;HMT: a hardware-centric hybrid bonsai Merkle tree algorithm for high-performance authentication;synthesized garbage collection for FPGA accelerators;and SEXTANS: a streaming accelerator for general-purpose sparse-matrix dense-matrix multiplication.
The proceedings contain 32 papers. The topics discussed include: hyperpipelining of high-speed interface logic;agile co-design for a reconfigurable datacenter;throughput-optimized OpenCL-based FPGA accelerator for lar...
ISBN:
(纸本)9781450338561
The proceedings contain 32 papers. The topics discussed include: hyperpipelining of high-speed interface logic;agile co-design for a reconfigurable datacenter;throughput-optimized OpenCL-based FPGA accelerator for large-scale convolutional neural networks;going deeper with embedded FPGA platform for convolutional neural network;using stochastic computing to reduce the hardware requirements for a restricted Boltzmann machine classifier;a platform-oblivious approach for heterogeneous computing: a case study with Monte Carlo-based simulation for medical applications;physical design of 3D FPGAs embedded with micro-channel-based fluidic cooling;Boolean satisfiability-based routing and its application to Xilinx ultrascale clock network;and pitfalls and tradeoffs in simultaneous, on-chip FPGA delay measurement.
The proceedings contain 35 papers. The topics discussed include: visual system integrator;build your own domain-specific solutions with RapidWright;reconfigurable convolutional kernels for neural networks on FPGAs;eff...
ISBN:
(纸本)9781450361378
The proceedings contain 35 papers. The topics discussed include: visual system integrator;build your own domain-specific solutions with RapidWright;reconfigurable convolutional kernels for neural networks on FPGAs;efficient and effective sparse LSTM on FPGA with bank-balanced sparsity;math doesn't have to be hard: logic block architectures to enhance low-precision multiply-accumulate on FPGAs;on-chip FPGA debug instrumentation for machine learning applications;scheduling data in neural network applications;fault testing a synthesizable embedded processor at gate level using ultrascale FPGA emulation;a deep-reinforcement-learning-based scheduler for high-level synthesis;accelerating 3D CNN-based lung nodule segmentation on a multi-FPGA system;SparseBNN: joint algorithm/hardware optimization to exploit structured sparsity in binary neural network;a deep learning inference accelerator based on model compression on FPGA;and sparse winograd convolutional neural networks on small-scale systolic arrays.
The proceedings contain 23 papers. The topics discussed include: eliminating excessive dynamism of dataflow circuits using model checking;straight to the queue: fast load-store queue allocation in dataflow circuits;OM...
ISBN:
(纸本)9781450394178
The proceedings contain 23 papers. The topics discussed include: eliminating excessive dynamism of dataflow circuits using model checking;straight to the queue: fast load-store queue allocation in dataflow circuits;OMT: a demand-adaptive, hardware-targeted Bonsai Merkle tree framework for embedded heterogeneous memory platform;fault detection on multi COTS FPGA systems for physics experiments on the international space station;Nimblock: scheduling for fine-grained FPGA sharing through virtualization;weave: abstraction for accelerator integration of generated modules;a novel FPGA simulator accelerating reinforcement learning-based design of power converters;and power side-channel countermeasures for ARX ciphers using high-level synthesis.
The proceedings contain 29 papers. The topics discussed include: accelerating subsequence similarity search based on dynamic time warping distance with FPGA;video-rate stereo matching using Markov random field TRW-S i...
ISBN:
(纸本)9781450318877
The proceedings contain 29 papers. The topics discussed include: accelerating subsequence similarity search based on dynamic time warping distance with FPGA;video-rate stereo matching using Markov random field TRW-S inference on a hybrid CPU+FPGA computing platform;fully-functional FPGA prototype with fine-grain programmable body biasing;sensing nanosecond-scale voltage attacks and natural transients in FPGAs;word-length optimization beyond straight line code;word-length optimization beyond straight line code;embedding-based placement of processing element networks on FPGAs for physical model simulation;a remote memory access infrastructure for global address space programming models in FPGAs;architecture support for custom instructions with memory operations;high throughput and programmable online traffic classifier on FPGA;and indirect connection aware attraction for FPGA clustering.
The proceedings contain 36 papers. The topics discussed include: speedy FPGA-based packet classifiers with low on-chip memory requirements;a real-time stereo vision system using a tree-structured dynamic programming o...
ISBN:
(纸本)9781450311557
The proceedings contain 36 papers. The topics discussed include: speedy FPGA-based packet classifiers with low on-chip memory requirements;a real-time stereo vision system using a tree-structured dynamic programming on FPGA;incremental clustering applied to radar deinterleaving: a parameterized FPGA implementation;communication visualization for bottleneck detection of high-level synthesis applications;a mixed precision Monte Carlo methodology for reconfigurable accelerator systems;saturating the transceiver bandwidth: switch fabric design on FPGAs;saturating the transceiver bandwidth: switch fabric design on FPGAs;limit study of energy & delay benefits of component-specific routing;impact of FPGA architecture on resource sharing in high-level synthesis;and securing netlist-level FPGA design through exploiting process variation and degradation.
The proceedings contain 32 papers. The topics discussed include: the role of FPGAs in deep learning;accelerating binarized convolutional neural networks with software-programmable FPGAs;improving the performance of Op...
ISBN:
(纸本)9781450343541
The proceedings contain 32 papers. The topics discussed include: the role of FPGAs in deep learning;accelerating binarized convolutional neural networks with software-programmable FPGAs;improving the performance of OpenCL-based FPGA accelerator for convolutional neural network;frequency domain acceleration of convolutional neural networks on CPU-FPGA shared memory system;FINN: a framework for fast, scalable binarized neural network inference;quality-time tradeoffs in component-specific mapping: how to train your dynamically reconfigurable array of gates with outrageous network-delays;synchronization constraints for interconnect synthesis;automatic construction of program-optimized FPGA memory networks;a parallelized iterative improvement approach to area optimization for LUT-based technology mapping;a new approach to automatic memory banking using trace-based address mining;dynamic hazard resolution for pipelining irregular loops in high-level synthesis;and secure function evaluation using an FPGA overlay architecture.
The proceedings contain 23 papers. The topics discuss include: CompressedLUT: an open-source tool for lossless compression of lookup tables for function evaluation and beyond;MiCache: an MSHR-inclusive non-blocking ca...
ISBN:
(纸本)9798400704185
The proceedings contain 23 papers. The topics discuss include: CompressedLUT: an open-source tool for lossless compression of lookup tables for function evaluation and beyond;MiCache: an MSHR-inclusive non-blocking cache design for FPGAs;Hardcaml MSM: a high-performance split CPU-FPGA multi-scalar multiplication engine;DynaRapid: from C to FPGA in a few seconds;design and implementation of a primary visual cortex pathway model based on opponent-process theory;Hardcaml: an OCaml hardware domain-specific language for efficient and robust design;XUNI: virtual machine abstraction for self-contained and multi-tenant cloud FPGAs;ISO-TENANT: rethinking FPGA power distribution network (PDN): a hardware based solution for remote power side channel attacks in FPGA;and accelerating autonomous path planning on FPGAs with sparsity-aware HW/SW co-optimizations.
暂无评论