咨询与建议

限定检索结果

文献类型

  • 51 篇 会议
  • 15 篇 期刊文献
  • 3 篇 学位论文

馆藏范围

  • 69 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 61 篇 工学
    • 36 篇 电气工程
    • 36 篇 计算机科学与技术...
    • 12 篇 软件工程
    • 7 篇 控制科学与工程
    • 6 篇 信息与通信工程
    • 5 篇 机械工程
    • 5 篇 材料科学与工程(可...
    • 4 篇 电子科学与技术(可...
    • 2 篇 生物工程
    • 1 篇 力学(可授工学、理...
    • 1 篇 石油与天然气工程
  • 5 篇 理学
    • 3 篇 物理学
    • 2 篇 生物学
    • 1 篇 化学
  • 3 篇 管理学
    • 3 篇 管理科学与工程(可...
  • 2 篇 农学
    • 1 篇 作物学
  • 2 篇 医学
    • 1 篇 基础医学(可授医学...
    • 1 篇 临床医学
    • 1 篇 公共卫生与预防医...
    • 1 篇 特种医学
    • 1 篇 医学技术(可授医学...
  • 1 篇 教育学
    • 1 篇 教育学

主题

  • 69 篇 functional cover...
  • 14 篇 functional verif...
  • 12 篇 verification
  • 6 篇 system-on-chip
  • 4 篇 systemverilog
  • 4 篇 coverage
  • 4 篇 formal verificat...
  • 4 篇 system verilog
  • 3 篇 systemc
  • 3 篇 reinforcement le...
  • 3 篇 automation
  • 3 篇 universal verifi...
  • 3 篇 machine learning
  • 3 篇 uvm
  • 3 篇 network-on-chip
  • 2 篇 game play testin...
  • 2 篇 multi-core proce...
  • 2 篇 coverage analysi...
  • 2 篇 stimuli generati...
  • 2 篇 sata

机构

  • 3 篇 mentor graph cor...
  • 3 篇 univ fed campina...
  • 2 篇 amrita vishwa vi...
  • 2 篇 brno university ...
  • 2 篇 indian inst tech...
  • 1 篇 fdn bruno kessle...
  • 1 篇 intel corp folso...
  • 1 篇 ain shams univ a...
  • 1 篇 bits pilani hyde...
  • 1 篇 dayananda sagar ...
  • 1 篇 cavium networks ...
  • 1 篇 univ michigan an...
  • 1 篇 univ pisa dept i...
  • 1 篇 portland state u...
  • 1 篇 key lab of integ...
  • 1 篇 transilvania uni...
  • 1 篇 department of en...
  • 1 篇 invecas technol ...
  • 1 篇 cf-small animal ...
  • 1 篇 dfki gmbh cyber ...

作者

  • 3 篇 melcher elmar u....
  • 3 篇 soumya j.
  • 3 篇 el-ashry sameh
  • 3 篇 krishna n. vamsh...
  • 3 篇 da silva karina ...
  • 2 篇 vitullo francesc...
  • 2 篇 petri esa
  • 2 篇 salah khaled
  • 2 篇 wang jing
  • 2 篇 grosse daniel
  • 2 篇 saponara sergio
  • 2 篇 peroutka ondřej
  • 2 篇 dasgupta pallab
  • 2 篇 banerjee ansuman
  • 2 篇 li kang
  • 2 篇 drechsler rolf
  • 2 篇 fanucci luca
  • 1 篇 trudel marie-cla...
  • 1 篇 raja subramani
  • 1 篇 anu mehra

语言

  • 66 篇 英文
  • 2 篇 中文
  • 1 篇 其他
检索条件"主题词=functional coverage"
69 条 记 录,以下是21-30 订阅
排序:
UVM Based Testbench Architecture for coverage Driven functional Verification of SPI Protocol  7
UVM Based Testbench Architecture for Coverage Driven Functio...
收藏 引用
7th International Conference on Computing, Communications and Informatics (ICACCI)
作者: Vineeth, B. Sundari, B. Bala Tripura Amrita Vishwa Vidyapeetham Amrita Sch Engn Dept Elect & Commun Engn Coimbatore Tamil Nadu India
The scale and complexity of integrated circuit designs are ever expanding which makes the verification process increasingly difficult and progressively time consuming. This dictates the need for testbench architecture... 详细信息
来源: 评论
Design-intent coverage - A new paradigm for formal property verification
收藏 引用
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 2006年 第10期25卷 1922-1934页
作者: Basu, Prasenjit Das, Sayantan Banerjee, Ansuman Dasgupta, Pallab Chakrabarti, Partha P. Mohan, Chunduri Rama Fix, Limor Armoni, Roy Indian Inst Technol Dept Comp Sci & Engn Kharagpur 721302 W Bengal India Intel Corp Folsom CA 95630 USA Intel Res Pittsburgh Pittsburgh PA 15213 USA Intel Corp IL-31015 Haifa Israel
It is essential to formally ascertain whether the register-transfer level (RTL) validation effort effectively guarantees the correctness with respect to the design's architectural intent. The design's architec... 详细信息
来源: 评论
Accelerating assertion coverage with adaptive testbenches
收藏 引用
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 2008年 第5期27卷 967-972页
作者: Pal, Bhaskar Banerjee, Ansuman Sinha, Arnab Dasgupta, Pallab Indian Inst Technol Dept Comp Sci & Engn Kharagpur 721302 W Bengal India
We present a new approach to bias random test generation for accelerating assertion coverage. The novelty of the proposed approach is that it treats the design under test as a black box and attempts to steer the simul... 详细信息
来源: 评论
Fine-grained transaction-level verification: Using a variable transactor for improved coverage at the signal level
收藏 引用
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 2005年 第8期24卷 1234-1240页
作者: Ara, K Suzuki, K Hitachi Ltd Cent Res Lab Tokyo 1858601 Japan
Maintaining coverage with increasing circuit scale has become a critical problem for logic-verification processes. While transaction-level verification (TLV) is an important step forward, fine-grained (FG) TLV provide... 详细信息
来源: 评论
Practical methods in coverage-Oriented verification of the Merom microprocessor
Practical methods in Coverage-Oriented verification of the M...
收藏 引用
43rd Design Automation Conference
作者: Gluska, Alon Intel MG MATAM Haifa Israel
functional coverage is a well known means of measuring verification progress. However, approaches to coverage, such as coverage Driven and coverage Oriented approaches, are often difficult or impractical to implement.... 详细信息
来源: 评论
Enabling coverage-Based Verification in Chisel  27
Enabling Coverage-Based Verification in Chisel
收藏 引用
27th IEEE European Test Symposium (ETS)
作者: Dobis, Andrew Damsgaard, Hans Jakob Tolotto, Enrico Hesse, Kasper Petersen, Tjark Schoeberl, Martin Tech Univ Denmark Dept Appl Math & Comp Sci Lyngby Denmark
Ever-increasing performance demands are pushing hardware designers towards designing domain-specific accelerators. This has created a demand for improving the overall efficiency of the hardware design and verification... 详细信息
来源: 评论
coverage Evaluation of Post-silicon Validation Tests with Virtual Prototypes  14
Coverage Evaluation of Post-silicon Validation Tests with Vi...
收藏 引用
Design, Automation and Test in Europe Conference and Exhibition (DATE)
作者: Cong, Kai Lei, Li Yang, Zhenkun Xie, Fei Portland State Univ Dept Comp Sci Portland OR 97207 USA
High-quality tests for post-silicon validation should be ready before a silicon device becomes available in order to save time spent on preparing, debugging and fixing tests after the device is available. Test coverag... 详细信息
来源: 评论
Equivalence Checking Between SLM and TLM Using coverage Directed Simulation
Equivalence Checking Between SLM and TLM Using Coverage Dire...
收藏 引用
13th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics)
作者: Hu, Jian Li, Tun Li, Sikun Natl Univ Def Technol Sch Comp Sci Changsha Hunan Peoples R China
The always increasing complexity of digital system makes designers start the design from more abstract System Level Modeling (SLM). However, the SLM arouses a new challenge for verification engineer to guarantee the f... 详细信息
来源: 评论
A Verification Methodology for Reusable Test Cases and coverage Based on System Verilog
A Verification Methodology for Reusable Test Cases and Cover...
收藏 引用
2014 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC)
作者: Chai, Lingling Xie, Zheng Wang, Xin'an Peking Univ Shenzhen Grad Sch Key Lab Integrated Microsyst Shenzhen 518055 Peoples R China
As the size and complexity of SoC design grow, it is common to establish a scalable and reusable verification test bench for verification engineers. To improve the efficiency of verification and reduce the development... 详细信息
来源: 评论
coverage-oriented verification of banias  03
Coverage-oriented verification of banias
收藏 引用
40th Design Automation Conference
作者: Gluska, A Intel Israel Sci Ind Ctr IL-31015 Haifa Israel
The growing complexity of state-of-art microprocessors dictates the use of cost-effective verification methods. functional coverage was widely applied in the verification of Banias, Intel's new IA-32 microprocesso... 详细信息
来源: 评论