咨询与建议

限定检索结果

文献类型

  • 81 篇 会议
  • 7 篇 期刊文献

馆藏范围

  • 88 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 36 篇 工学
    • 25 篇 电子科学与技术(可...
    • 13 篇 电气工程
    • 13 篇 化学工程与技术
    • 11 篇 材料科学与工程(可...
    • 7 篇 冶金工程
    • 7 篇 计算机科学与技术...
    • 5 篇 动力工程及工程热...
    • 3 篇 机械工程
    • 3 篇 软件工程
    • 2 篇 力学(可授工学、理...
    • 2 篇 信息与通信工程
    • 2 篇 土木工程
    • 1 篇 光学工程
    • 1 篇 仪器科学与技术
    • 1 篇 控制科学与工程
    • 1 篇 建筑学
    • 1 篇 轻工技术与工程
    • 1 篇 交通运输工程
    • 1 篇 船舶与海洋工程
    • 1 篇 环境科学与工程(可...
  • 22 篇 理学
    • 13 篇 化学
    • 11 篇 物理学
    • 9 篇 数学
    • 1 篇 海洋科学
    • 1 篇 生物学
  • 1 篇 管理学
    • 1 篇 管理科学与工程(可...

主题

  • 16 篇 etching
  • 9 篇 semiconductor de...
  • 8 篇 dielectric mater...
  • 8 篇 stress
  • 7 篇 dielectric break...
  • 7 篇 dielectrics
  • 7 篇 planarization
  • 6 篇 slurries
  • 5 篇 research and dev...
  • 5 篇 chemicals
  • 5 篇 integrated circu...
  • 5 篇 samarium
  • 5 篇 copper
  • 5 篇 capacitance
  • 5 篇 flash memory
  • 5 篇 ash
  • 5 篇 manufacturing in...
  • 4 篇 dielectric measu...
  • 4 篇 plasma applicati...
  • 4 篇 plasmas

机构

  • 11 篇 advanced module ...
  • 9 篇 advanced module ...
  • 7 篇 technology devel...
  • 6 篇 advanced module ...
  • 5 篇 shanghai huali i...
  • 3 篇 advanced packagi...
  • 3 篇 institute of ele...
  • 2 篇 advanced technol...
  • 2 篇 advanced module ...
  • 2 篇 process integrat...
  • 2 篇 advanced technol...
  • 2 篇 advanced module ...
  • 2 篇 global reliabili...
  • 2 篇 institute of ele...
  • 2 篇 department of me...
  • 2 篇 advanced module ...
  • 1 篇 advanced module ...
  • 1 篇 national univers...
  • 1 篇 ibm semiconducto...
  • 1 篇 department of el...

作者

  • 17 篇 m.s. liang
  • 13 篇 kuang-chao chen
  • 13 篇 tahone yang
  • 9 篇 k.c. lin
  • 9 篇 fang jingxun
  • 9 篇 chih-yuan lu
  • 9 篇 zhang jian
  • 8 篇 c.h. yu
  • 8 篇 zhang yu
  • 8 篇 hong-ji lee
  • 7 篇 zhou haifeng
  • 7 篇 nan-tzu lian
  • 7 篇 s.m. jang
  • 6 篇 y.c. lu
  • 6 篇 s.m. jeng
  • 5 篇 zhang lei
  • 5 篇 jingxun fang
  • 5 篇 sheng-yuan chang
  • 5 篇 tuung luoh
  • 4 篇 l.p. li

语言

  • 86 篇 英文
  • 1 篇 其他
  • 1 篇 中文
检索条件"机构=Advanced Module Technology Development"
88 条 记 录,以下是31-40 订阅
排序:
APF hard mask distortion improvement for high aspect ratio patterning
APF hard mask distortion improvement for high aspect ratio p...
收藏 引用
China Semiconductor technology International Conference (CSTIC)
作者: Bing-Lung Yu YuKai Huang Shing-Ann Luo Yi-Sheng Cheng Yung-Tai Hung Tuung Luoh Lin-Wuu Yang Tahone Yang Kuang-Chao Chen Advanced Module Process Development Technology Development Center Hsin-chu Taiwan R. O. C
The goal of this research is to improve bending issue and etch durability of amorphous carbon hard mask film (APF). The design of experiments (DoE) employed variable conditions of the spacing, RF power, precursors flo... 详细信息
来源: 评论
Pattern damage and slurry behavior analysis of CMP process by mechanical and fluid simulations-Yi-Sheng Cheng
Pattern damage and slurry behavior analysis of CMP process b...
收藏 引用
IEEE International Symposium on Semiconductor Manufacturing
作者: Wen-Cheng Yang Shing-Ann Luo YuKai Huang Yung-Tai Hung Tuung Luoh Lin-Wuu Yang Tahone Yang Kuang-Chao Chen Advanced Module Process Development Div. Technology Development Center Hsin-chu Taiwan R. O. C.
Chemical-mechanical polishing (CMP) technique is widely applied in the semiconductor industry nowadays. The CMP working mechanism is the interaction of the chemical reaction and mechanical polishing to remove the unde... 详细信息
来源: 评论
Influences of etcher chamber condition on critical-dimension shifts in advanced floating gate etching process
Influences of etcher chamber condition on critical-dimension...
收藏 引用
IEEE/SEMI Conference and Workshop on advanced Semiconductor Manufacturing
作者: Sheng-Yuan Chang Yu-Chung Chen An Chyi Wei Hong-Ji Lee Nan-Tzu Lian Tahone Yang Kuang-Chao Chen Chih-Yuan Lu Technology Development Center Advanced Module Process Development Division Macronix International Company Limited Hsinchu Taiwan
The authors investigated the correlation between variation of post-etch critical dimension (ECD) and etcher chamber condition during floating gate etching process. This paper presents the significantly effective metho... 详细信息
来源: 评论
A self-aligned double patterning technology using TiN as the sidewall spacer
A self-aligned double patterning technology using TiN as the...
收藏 引用
IEEE/SEMI Conference and Workshop on advanced Semiconductor Manufacturing
作者: Yuan-Chieh Chiu Shu-Sheng Yu Fang-Hao Hsu Hong-Ji Lee Nan-Tzu Lian Tahone Yang Kuang-Chao Chen Chih-Yuan Lu Technology Development Center Advanced Module Process Development Division Macronix International Company Limited Hsinchu Taiwan
The TiN was conventionally used as barrier layers for both tungsten plug and AlCu metal lines. This paper reveals a novel back end of line (BEOL) self-aligned double patterning (SADP) technology, which applied TiN as ... 详细信息
来源: 评论
Design, modeling, and performance evaluation of a novel dye cell for a high repetition rate dye laser
Design, modeling, and performance evaluation of a novel dye ...
收藏 引用
作者: Singh, Nageshwar Patel, Hemant K. Dixit, S.K. Vora, H.S. Magnetic and Superconducting Materials Section Materials Advanced Accelerator Sciences Division Raja Ramanna Centre for Advanced Technology Indore - 452013 M.P. India Cryo-engineering and Cryo-module Development Section Raja Ramanna Centre for Advanced Technology Indore - 452013 M.P. India Laser Systems Engineering Section Raja Ramanna Centre for Advanced Technology Indore - 452013 M.P. India Laser Engineering Support Division Raja Ramanna Centre for Advanced Technology Indore - 452013 M.P. India
In this paper, a new dye cell for transverse pumping was designed, modeled, and its performance in a narrow spectral width dispersive resonator, pumped by a high repetition rate copper vapor laser, was investigated. T... 详细信息
来源: 评论
Post Cu CMP cleaning process evaluation for 32nm and 22nm technology nodes
Post Cu CMP cleaning process evaluation for 32nm and 22nm te...
收藏 引用
IEEE/SEMI Conference and Workshop on advanced Semiconductor Manufacturing
作者: Wei-Tsu Tseng Donald Canaperi Adam Ticknor Vamsi Devarapalli Leo Tai Laertis Economikos James MacDougal Christine Bunke Matthew Angyal Jennifer Muncy Xiaomeng Chen John Zhang Qiang Fang Jianping Zheng IBM Semiconductor Research and Development Center Hopewell Junction NY USA IBM at Albany Nanotech Albany NY USA Silicon Technology Development STMicroelectronics Inc. Hopewell Junction NY USA Advanced Module Technology Development GLOBALFOUNDRIES Hopewell Junction NY USA
Optimization of post Cu CMP cleaning performance can be accomplished through dilution ratio tuning and pad rinse of clean chemicals. Excessive chemical etching as well as megasonic power can induce high Cu roughness. ... 详细信息
来源: 评论
Dependence of 4H-SiC Epitaxial Layer Quality on Growth Conditions with Wafer Size Corresponding to 150 mm
收藏 引用
MRS Online Proceedings Library 2012年 第1期1433卷 59-64页
作者: Kudou, Chiaki Tamura, Kentaro Aigo, Takashi Ito, Wataru Nishio, Johji Kojima, Kazutoishi Ohno, Toshiyuki R&D Partnership for Future Power Electronics Technology (FUPET) Ibaraki Japan Device Module Development Center Panasonic Corporation Okayama Japan ROHM Co. Ltd Kyoto Japan NIPPON STEEL CORPORATION Chiba-prefecture Japan Toshiba Corporation Kawasaki Japan National Institute of Advanced Industrial Science and Technology (AIST) Ibaraki Japan Hitachi Ltd Tokyo Japan
Homoepitaxial growth on 4H-SiC Si-face substrates with sizes corresponding to 150 mm was carried out. The influence of growth conditions for uniformity and epitaxial defect density was investigated. A 150 mm size was ... 详细信息
来源: 评论
advanced floating gate CD uniformity control in the 75nm node NOR flash memory
Advanced floating gate CD uniformity control in the 75nm nod...
收藏 引用
IEEE/SEMI Conference and Workshop on advanced Semiconductor Manufacturing
作者: Sheng-Yuan Chang Yu-Chung Chen An Chyi Wei Hong-Ji Lee Nan-Tzu Lian Tahone Yang Kuang-Chao Chen Chih-Yuan Lu Technology Development Center Advanced Module Process Development Division Macronix International Company Limited Hsinchu Taiwan
This paper describes the advanced control technology of critical dimension uniformity (CDU) by flash gate stack etch process. We have investigated the effective way of utilizing Tri-layer approach, which not only redu... 详细信息
来源: 评论
Post etch killer defect characterization and reduction in a self-aligned double patterning technology
Post etch killer defect characterization and reduction in a ...
收藏 引用
IEEE/SEMI Conference and Workshop on advanced Semiconductor Manufacturing
作者: Hong-Ji Lee Sun-Yi Lin I-Ting Lin Kuo-Liang Wei Sheng-Yuan Chang Nan-Tzu Lian Tahone Yang Kuang-Chao Chen Chih-Yuan Lu Technology Development Center Advanced Module Process Development Division Macronix International Company Limited Hsinchu Taiwan
This paper identifies post etch killer defects, e.g., core bridging, small particle and tiny bridging, and investigates the possible solutions in a SADP module. Among the killer defect adders, core bridging and small ... 详细信息
来源: 评论
Yield enhancement using source/drain BF2+ implant process optimization
Yield enhancement using source/drain BF2+ implant process op...
收藏 引用
IEEE/SEMI Conference and Workshop on advanced Semiconductor Manufacturing
作者: Tuung Luoh Sheng-Hui Hsieh Chen-Ling Lee Hong Ji Lee Kuo-Liang Wei Chin-Ta Su Ling-Wu Yang Tahone Yang Kuang-Chao Chen Chih-Yuan Lu Technology Development Center Advanced Module Process Development Division Macronix International Company Limited Hsinchu Taiwan
This investigation employs an optimized method to alleviate defects occurring at BF 2 + implanted source/drain areas, some white spots defects found at scribes lines after BPSG (boron and phosphorus doped silicon gla... 详细信息
来源: 评论