咨询与建议

限定检索结果

文献类型

  • 11 篇 会议
  • 6 篇 期刊文献

馆藏范围

  • 17 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 5 篇 工学
    • 3 篇 计算机科学与技术...
    • 2 篇 电子科学与技术(可...
    • 1 篇 机械工程
    • 1 篇 仪器科学与技术
    • 1 篇 动力工程及工程热...
    • 1 篇 建筑学
    • 1 篇 土木工程
    • 1 篇 城乡规划学
    • 1 篇 软件工程
    • 1 篇 安全科学与工程
  • 3 篇 理学
    • 3 篇 物理学
    • 1 篇 数学
  • 2 篇 医学
    • 2 篇 基础医学(可授医学...
    • 1 篇 临床医学
    • 1 篇 公共卫生与预防医...
  • 1 篇 管理学
    • 1 篇 管理科学与工程(可...
    • 1 篇 图书情报与档案管...

主题

  • 3 篇 logic gates
  • 2 篇 power demand
  • 2 篇 computer archite...
  • 2 篇 optimization
  • 2 篇 silicon
  • 2 篇 clocks
  • 2 篇 testing
  • 1 篇 time
  • 1 篇 semantic segment...
  • 1 篇 libraries
  • 1 篇 community
  • 1 篇 debugging
  • 1 篇 throughput
  • 1 篇 computer bugs
  • 1 篇 redundancy
  • 1 篇 resource managem...
  • 1 篇 inverters
  • 1 篇 species
  • 1 篇 biodiversity
  • 1 篇 energy consumpti...

机构

  • 2 篇 universidad iber...
  • 2 篇 ozyegin universi...
  • 2 篇 vienna universit...
  • 2 篇 national and kap...
  • 2 篇 centro brasileir...
  • 2 篇 italian national...
  • 2 篇 eszterhazy karol...
  • 2 篇 wigner research ...
  • 2 篇 national researc...
  • 2 篇 istanbul technic...
  • 2 篇 university of sp...
  • 2 篇 lappeenranta uni...
  • 2 篇 yerevan physics ...
  • 2 篇 academy of scien...
  • 2 篇 bingol universit...
  • 2 篇 indian institute...
  • 2 篇 institute for nu...
  • 2 篇 consejo nacional...
  • 2 篇 national scienti...
  • 2 篇 university of vi...

作者

  • 7 篇 virendra singh
  • 2 篇 janeel patel
  • 2 篇 mutyam madhu
  • 2 篇 binod kumar
  • 2 篇 raj kumar choudh...
  • 1 篇 couto rosa a.s.
  • 1 篇 v. khristenko
  • 1 篇 g. tonelli
  • 1 篇 d. barney
  • 1 篇 j. lim
  • 1 篇 andrew s. hoey
  • 1 篇 richard williams
  • 1 篇 bylinkin a.
  • 1 篇 de roeck a.
  • 1 篇 amy marie offlan...
  • 1 篇 swain s. k.
  • 1 篇 l. valencia palo...
  • 1 篇 segura delgado m...
  • 1 篇 w. busza
  • 1 篇 t. liu

语言

  • 17 篇 英文
检索条件"机构=Computer Architecture and Dependable Systems Laboratory Indian Institute of Technology"
17 条 记 录,以下是1-10 订阅
排序:
Achieving full functional coverage for the forwarding unit of pipelined processors
Achieving full functional coverage for the forwarding unit o...
收藏 引用
2017 IEEE East-West Design and Test Symposium, EWDTS 2017
作者: Vineesh, V.S. Hage, Nihar Karthik, B Singh, Virendra Computer Architecture and Dependable Systems Lab Department of Electrical Engineering Indian Institute of Technology Bombay India
Generic instruction based testing methods do not always give good fault coverage for the complex units like Forwarding unit. Hence it becomes important to carefully craft the test which are best for different parts of... 详细信息
来源: 评论
Way sharing set associative cache architecture
Way sharing set associative cache architecture
收藏 引用
25th International Conference on VLSI Design, VLSID 2012 and the 11th International Conference on Embedded systems
作者: Janraj, C.J. Kalyan, T. Venkata Warrier, Tripti Mutyam, Madhu Computer Architecture and Systems Laboratory Department of Computer Science and Engineering Indian Institute of Technology Madras Chennai 600036 India
In order to minimize the conflict miss rate, cache memories can be organized in set-associative manner. The downside of increasing the associativity is increase in the per access energy consumption. In conventional n-... 详细信息
来源: 评论
BOFAR: Buffer occupancy factor based adaptive router for mesh NoCs
BOFAR: Buffer occupancy factor based adaptive router for mes...
收藏 引用
4th International Workshop on Network on Chip architectures, NoCArc 2011, in Conjunction with the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO44
作者: Jose, John Shankar, J. Shiva Mahathi, K.V. Kumar, Damarla Kranthi Mutyam, Madhu Computer Architecture and Systems Laboratory Department of Computer Science and Engineering Indian Institute of Technology Madras Chennai-36 India
If the route computation operation in an adaptive router returns more than one output channels, the selection strategy chooses one from them based on the congestion metric used. The effectiveness of a selection strate... 详细信息
来源: 评论
Early Execution for Soft Error Detection
Early Execution for Soft Error Detection
收藏 引用
International Conference on VLSI Design
作者: Raj Kumar Choudhary Janeel Patel Virendra Singh Computer Architecture and Dependable Systems Laboratory Indian Institute of Technology Bombay India
Single event upsets have made modern integrated circuits more susceptible to soft errors, making their operation less reliable. In order to detect induced computational faults, we propose a fault-tolerant, low-overhea...
来源: 评论
ERrOR: Improving Performance and Fault Tolerance Using Early Execution
ERrOR: Improving Performance and Fault Tolerance Using Early...
收藏 引用
IEEE Symposium on On-Line Testing (IOLTS)
作者: Raj Kumar Choudhary Janeel Patel Virendra Singh Computer Architecture and Dependable Systems Laboratory Indian Institute of Technology Bombay India
Contemporary integrated circuits are becoming increasingly susceptible to soft errors due to single-event upsets, effectively decreasing the reliability of operation. In this paper, we propose the ERrOR microarchitect...
来源: 评论
REMO: Redundant execution with minimum area, power, performance overhead fault tolerant architecture
REMO: Redundant execution with minimum area, power, performa...
收藏 引用
IEEE Symposium on On-Line Testing (IOLTS)
作者: Shoba Gopalakrishnan Virendra Singh Computer Architecture & Dependable Systems Lab Indian Institute of Technology Bombay India
Relentless scaling in CMOS fabrication technology has made contemporary integrated circuits continue to evolve and grow in functionality with high clock frequencies and exponentially increasing transistor counts. Howe... 详细信息
来源: 评论
Dynamic Optimizations in GPU Using Roofline Model
Dynamic Optimizations in GPU Using Roofline Model
收藏 引用
IEEE International Symposium on Circuits and systems
作者: Winnie Thomas Suryakant Toraskar Virendra Singh Computer Architecture and Dependable Systems Laboratory Dept. of Electrical Engineering Indian Institute of Technology Bombay India
Massively parallel processors such as graphics processing units (GPUs) often face the challenge of resource underutilization due to varying resource proclivity of workloads. Running multiple applications on a GPU has ... 详细信息
来源: 评论
A trace signal selection algorithm for improved post-silicon debug
A trace signal selection algorithm for improved post-silicon...
收藏 引用
East-West Design & Test Symposium (EWDTS)
作者: Binod Kumar Ankit Jindal Virendra Singh Computer Architecture and Dependable Systems Lab (CADSL) Indian Institute of Technology Bombay India
Enhancing observability is a key challenge in post-silicon validation. On-chip trace buffers store real time data which can be used for analyzing and debugging. Appropriate selection of these signals is crucial for st... 详细信息
来源: 评论
PHP: Power hungry pattern generation at higher abstraction level
PHP: Power hungry pattern generation at higher abstraction l...
收藏 引用
East-West Design & Test Symposium (EWDTS)
作者: Rohini Gulve Anshu Goel Virendra Singh Computer Architecture and Dependable Systems Lab Electrical Engineering Indian Institute of Technology Bombay India
The Performance, area, and power are most essential factors to be considered and optimize at every step in the design cycle. Design engineers often need to learn about these factors in order make right decisions on de... 详细信息
来源: 评论
A technique for low power, stuck-at fault diagnosable and reconfigurable scan architecture
A technique for low power, stuck-at fault diagnosable and re...
收藏 引用
East-West Design & Test Symposium (EWDTS)
作者: Binod Kumar Boda Nehru Brajesh Pandey Virendra Singh Jaynarayan Tudu Computer Architecture and Dependable Systems Lab (CADSL) Indian Institute of Technology Bombay India Dept. of Computer Science and Automation Indian Institute of Science Bangalore
Power dissipation is a major issue with testing of designs having full scan architectures. The proposed scan technique minimizes toggle activity while scanning in test patterns. The method uses bit inversion technique... 详细信息
来源: 评论